Imec World First to Demonstrate 2 Metal Layer Back-end-of-line for the 3nm Technology Node



https://ift.tt/2JokDUG
 

This week, at its technology forum ITF USA 2019, imec, a world-leading research and innovation hub in nanoelectronics and digital technologies, presents a dual-damascene 21nm pitch test vehicle relevant for manufacturing the 3nm logic technology node. With this test vehicle, a 30 percent improvement in resistance-capacitance product (RC) was obtained compared to previous generations, without impacting reliability. The need for implementing scaling boosters such as self-aligned vias and self-aligned blocks in 3nm and beyond interconnect technologies has been demonstrated.

While the dimensional scaling of traditional front-end technologies is expected to slow down, the backof-line dimensions keep on scaling with ~0.7X to keep up with the required area scaling. For the 3nm logic technology node, M2 interconnect layers with metal pitches as tight as 21nm need to be manufactured while preserving the backof-line’s performance. This implies a tight control of the RC delay, while maintaining good reliability.

Imec for the first time demonstrated a dual-damascene 21nm metal pitch test vehicle that is relevant for the 3nm technology node. The measured RC shows a 30 percent improvement compared to previous generations. The test vehicle also performs well in terms of reliability: no electromigration failures were observed after 530 hours at 330°C, and dielectric breakdown (TDDB) measurements demonstrated a time-to-failure >10 years at 100°C.

To pattern the M2 layer, a hybrid lithography approach was proposed, using 193nm immersion-based self-aligned quadrupole patterning (SAQP) for printing the lines and trenches, and extreme ultraviolet lithography (EUVL) for printing the block and via structures. The test vehicle implemented a barrier-less ruthenium (Ru) metallization scheme and an insulator with dielectric constant k = 3.0.

First results also demonstrate that the proposed interconnect technology can be improved by adding scaling boosters, including buried power rail, SuperVia, self-aligned blocks, fully self-aligned vias and double self-aligned blocks.

About imec

Imec is a world-leading research and innovation hub in nanoelectronics and digital technologies. The combination of our widely acclaimed leadership in microchip technology and profound software and ICT expertise is what makes us unique. By leveraging our world-class infrastructure and local and global ecosystem of partners across a multitude of industries, we create groundbreaking innovation in application domains such as healthcare, smart cities and mobility, logistics and manufacturing, energy and education.

As a trusted partner for companies, start-ups and universities we bring together more than 4,000 brilliant minds from over 97 nationalities. Imec is headquartered in Leuven, Belgium and has distributed R&D groups at a number of Flemish universities, in the Netherlands, Taiwan, USA, and offices in China, India and Japan. In 2018, imec's revenue (P&L) totaled 583 million euro. Further information on imec can be found at imec-int.com.

Imec is a registered trademark for the activities of IMEC International (a legal entity set up under Belgian law as a "stichting van openbaar nut”), imec Belgium (IMEC vzw supported by the Government of Flanders), imec the Netherlands (Stichting IMEC Nederland, part of Holst Centre which is supported by the Dutch Government), imec Taiwan (IMEC Taiwan Co.), imec China (IMEC Microelectronics (Shanghai) Co. Ltd.), imec India (Imec India Private Limited), and imec Florida (IMEC USA nanoelectronics design center).

admin

Please Keep Visiting for latest IT, Computer and Mobile related updates, Tips and tricks and tutorials

No comments:

Post a Comment